Show simple item record

dc.identifier.urihttp://hdl.handle.net/1951/59853
dc.identifier.urihttp://hdl.handle.net/11401/71403
dc.description.sponsorshipThis work is sponsored by the Stony Brook University Graduate School in compliance with the requirements for completion of degree.en_US
dc.formatMonograph
dc.format.mediumElectronic Resourceen_US
dc.language.isoen_US
dc.publisherThe Graduate School, Stony Brook University: Stony Brook, NY.
dc.typeThesis
dcterms.abstractThree primary techniques for manufacturing through silicon vias (TSVs), via-first, via-middle, and via-last, have been analyzed and compared to distribute power in a three-dimensional (3-D) processor-memory system with nine planes. Due to distinct fabrication techniques, these TSV technologies require significantly different design constraints, as investigated in this work. A valid design space that satisfies the peak power supply noise while minimizing area overhead is identified for each technology. It is demonstrated that the area overhead of a power distribution network with via-first TSVs is approximately 9% as compared to less than 2% in via-middle and via-last technologies. Despite this drawback, a via-first based power network is typically overdamped and the issue of resonance is alleviated. A via-last based power network, however, exhibits a relatively low damping factor and the peak noise is highly sensitive to number of TSVs and decoupling capacitance.
dcterms.available2013-05-22T17:35:33Z
dcterms.available2015-04-24T14:47:25Z
dcterms.contributorSalman, Emreen_US
dcterms.contributorStanacevic, Milutin.en_US
dcterms.creatorSatheesh, Suhas Mysore
dcterms.dateAccepted2013-05-22T17:35:33Z
dcterms.dateAccepted2015-04-24T14:47:25Z
dcterms.dateSubmitted2013-05-22T17:35:33Z
dcterms.dateSubmitted2015-04-24T14:47:25Z
dcterms.descriptionDepartment of Electrical Engineeringen_US
dcterms.extent55 pg.en_US
dcterms.formatApplication/PDFen_US
dcterms.formatMonograph
dcterms.identifierSatheesh_grad.sunysb_0771M_10918en_US
dcterms.identifierhttp://hdl.handle.net/1951/59853
dcterms.identifierhttp://hdl.handle.net/11401/71403
dcterms.issued2012-05-01
dcterms.languageen_US
dcterms.provenanceMade available in DSpace on 2013-05-22T17:35:33Z (GMT). No. of bitstreams: 1 Satheesh_grad.sunysb_0771M_10918.pdf: 1454765 bytes, checksum: 11d7fcbe4994eaccc640f954d01525ff (MD5) Previous issue date: 1en
dcterms.provenanceMade available in DSpace on 2015-04-24T14:47:25Z (GMT). No. of bitstreams: 3 Satheesh_grad.sunysb_0771M_10918.pdf.jpg: 1894 bytes, checksum: a6009c46e6ec8251b348085684cba80d (MD5) Satheesh_grad.sunysb_0771M_10918.pdf.txt: 65411 bytes, checksum: ae3e24786259036ef2690f695d30106f (MD5) Satheesh_grad.sunysb_0771M_10918.pdf: 1454765 bytes, checksum: 11d7fcbe4994eaccc640f954d01525ff (MD5) Previous issue date: 1en
dcterms.publisherThe Graduate School, Stony Brook University: Stony Brook, NY.
dcterms.subjectElectrical engineering
dcterms.subject3-D IC, Decoupling capacitor, Peak noise, Power delivery, Processor-memory, TSV
dcterms.titlePOWER DISTRIBUTION IN TSV BASED 3-D PROCESSOR-MEMORY STACKS
dcterms.typeThesis


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record